マイペースなプログラミング日記

DTMやプログラミングにお熱なd-kamiがマイペースに書くブログ

何かが進んでいる

DE0のFPGAの内蔵メモリの値を読み込めているかテストするために何故かVGAを使って出力する謎ハック(?)。この内蔵メモリには初期値としてモデルデータを与えていて、画面座標とメモリ番地が微妙に対応している。そして、メモリの値によって赤と黒を使い分けている。これからもっと頑張るんだ!